Powered by Blogger.

Total Pageviews

174494

I m on Twitter!

Saturday, April 7, 2012

Fet Buffer for amplifiers

source: http://cappels.org/dproj/edfet/edfet.htmlThe EDFET drives like a FET, but with the bias stability of bipolar. Amps of output current can be controlled by milliamps of input current. The current gain is a design choice dictated by bandwidth. Two of things you have to consider when adding a power output stage to an op-amp circuit are the frequency response and the cross-over distortion in that stage.This is especially true with wide band amplifiers,...

VHDL code for 1x2 Demultiplexer

library ieee;use ieee.std_logic_1164.all;entity bejoy_1x2 isport(d,s:in std_logic;z0,z1:out std_logic);end bejoy_1x2;architecture arc of bejoy_1x2 isbeginz0 <= d and (not s);z1 <= (d and s);end a...

Design Buffers: Improved unity-gain follower delivers fast, stable response

Robert A Pease, National Semiconductor Corp -- EDN, June 27, 2011Heavy load capacitance can cause the output of a unity-gain follower—an operational amplifier with direct feedback to the inverting input (Fig 1)—to ring and oscillate. The LM110 follower, for example, normally drives a 50-pF load without problems, but it does not drive 500 pF stably—high capacitance significantly modifies the open-loop output impedance, reducing the phase margin to...

VHDL code for Full Subtractor

library ieee;use ieee.std_logic_1164.all;entity bejoy_fs isport(x,y,bi: in bit; b2,do,bo: out bit; d,b: inout bit);end bejoy_fs;architecture arc of bejoy_fs isbegind<=x xor y;b<=x and (not y);do<=bi xor d;b2<=bi and (not b);end a...

Fake Google powered Antivirus is Malware

Some security researchers have found a fake antivirus which is actually a malware. The strange thing about this malware is that it claims to be powered by Google.Some highly SEO optimize website with Good ranking in Google Search are being used by attackers to spread this malware. When a user visits any of this malicious website, the website shows a fake virus warning message. This message warns users to download or they will block their access to...

VHDL code for 4 bit Gray to Binary converter

library ieee;use ieee.std_logic_1164.all;entity bejoy_g2b isport(g:in std_logic_vector(3 downto 0);b:inout std_logic_vector(3 downto 0));end bejoy_g2b;architecture a of bejoy_g2b isbeginb(3)<=g(3);b(2)<=b(3) xor g(2);b(1)<=b(2) xor g(1);b(0)<=b(1) xor g(0);end...

Friday, April 6, 2012

The City of Fools

As a newspaper journalist, you are sent to a small town of Tundel. A UFO is rumored to have landed there, but the local mayor tries to conceal the fact. Geared up to learn the truth about the mysterious event, you try to interview the mayor but finding him appears harder than it seemed. The way to his door turns up a real challenge to your courage, selflessness and wit. Overcome all the incredible obstacles, find the mayor and become a heroDownload: ...

VHDL code for 4 bit Binary to Gray code converter

library ieee;use ieee.std_logic_1164.all;entity bejoy_b2g isport(b:in std_logic_vector(3 downto 0);g:out std_logic_vector(3 downto 0));end bejoy_b2g;architecture a of bejoy_b2g isbeging(3)<=b(3);g(2)<=b(3) xor b(2);g(1)<=b(2) xor b(1);g(0)<=b(1) xor b(0);end...

Windows Xp Genuine Forever 100%

#################################Windows Xp Genuine Forever                                                                         ...

VHDL code for SR Flip Flop

library ieee;use ieee.std_logic_1164.all;entity bejoy_rsff isport(s,r,clk:in std_logic;q,q1,z:inout std_logic);end bejoy_rsff;architecture arc of bejoy_rsff isbeginprocess(clk)beginif clk='1' thenz<=s or ((not r) and q);q<=z after 5ns;q1<=not z after 5ns;end if;end process;end a...

Easy Method to Download Youtube Videos On Mobile and PC

A very simple and easy method is available to download Youtube Videos On Mobile and as well as on PC.Steps:Go to youtube video page, the video you want to download.Just remove 'www.' from the video url in the address bar and add 'ss' and press enter.A new page will be opened. Choose the format you need to download.If the Video URL is http://www.youtube.com/watch?v=jHgOvf7710k then you need to just add 'ss' in front of it and remove 'www.', Like...

Thursday, April 5, 2012

VHDL code for 1x4 Demultiplexer using structural style

library IEEE;use IEEE.std_logic_1164.all;entity bejoy_1x4 isport(s1,s2,data_in : in std_logic;d1,d2,d3,d4 : out std_logic);end bejoy_1x4;architecture arc of bejoy_1x4 iscomponent dmuxport(sx1,sx2,d : in std_logic;z1,z2 : out std_logic);end component;begindmux1 : dmux port map(s1,s2,data_in,d1,d2);dmux2 : dmux port map(not s1,s2,data_in,d3,d4);end arc;library ieee;use ieee.std_logic_1164.all;entity dmux isport(sx1,sx2,d :in std_logic;z1,z2: out std_logic);end dmux;architecture arc of dmux isbeginz1 <= d and (not sx1) and (not sx2);z2 <= d...

Best Funny Google Search Suggestions

Google suggest is a feature that tries to auto complete your searches when you write in search box.It saves a lot of effort.But sometimes you get really weird suggestions that make you laugh.The most funniest part is that Google only suggest those terms which are regularly searched by people.So, this is a clear indication of how dumb people have become.You should also read my earlier post’s Top 7 Funny Google tricksand Funny Google TricksHere...

VHDL code for D Flip Flop

library ieee;use ieee.std_logic_1164.all;entity bejoy_dff isport(d,clock :in std_logic;Q:out std_logic);end bejoy_dff;architecture arc of bejoy_dff isbeginprocess(clock)beginif clock'event and clock='1' thenQ<=D;end if;end process;end a...

Single Ended Class-A Power Amplifier using 6C45Pi

The valve itself, have a simple circuit enviable any transistor amplifier, however, not many projects that employ a single active component. This construction philosophy, I like to call it minimalism.If one speaks of minimalism, I think, that this project has all the credentials to qualify as such.This is an amplifier cu, a final integrated (Compact) which employs a single tube (triode) signed 6C45Pi. To do this, could be used several tubes, including...

Wonderland Solitaire

The king of Wonderland suddenly died and the people must elect a new leader. Prince Argon is the residents of Wonderland's choice but the evil sorcerer Rasmos has other plans. The despicable sorcerer created a whirlwind, scattered all the residents of Wonderland and then conjured an army of skeletons in order to sway the ballet in his favor! The undead are able to vote in Wonderland elections so he will surely win! Gather up all of the missing residents...

VHDL code for 8x3 Encoder

library ieee;use ieee.std_logic_1164.all;entity bejoy_8x3 isport(d0,d1,d2,d3,d4,d5,d6,d7:in std_logic;a0,a1,a2:out std_logic);end bejoy_8x3;architecture arc of bejoy_8x3 isbegina2<= d4 or d5 or d6 or d7;a1<= d2 or d3 or d6 or d7;a0<= d1 or d3 or d5 or d7;end a...

Wednesday, April 4, 2012

New Yankee in King Arthurs Court

Fetch the king Living Water in this tower defense game with a time travel twist! When a bolt of lightning sends you back to the days of King Arthur, you trade in your farmer's hat for a suit of armor and set off on a journey to find the Fountain of Youth - or at least make it back to the present by supper.To complete your mission for the king, you will need to remove obstacles, gather resources and build buildings in an effort to tame the wilderness....

CyberLink YouCam 5 Deluxe 5.0.0909 Full Version PreActivated

Kali ini saya akan share software yang telah di request oleh beberapa sobat FIKRISHARE. CyberLink YouCam 5 Deluxe 5.0.0909 Full Version PreActivated adalah aplikasi webcam yang dapat Anda gunakan untuk berfoto, merekam video, dan mendukung berbagai software instan messaging untuk video call. Dengan beragam fitur seperti penambahan efek animasi, clip art, 3D, dan beragam fitur lainnya yang dapat Anda gunakan untuk mempercantik foto dan video Anda. Ketahui...

VHDL code for 2x1 Multiplexer

library ieee;use ieee.std_logic_1164.all;entity bejoy_2x1 isport(d0,d1,s:in std_logic;z:out std_logic;z1,z2: inout std_logic);end bejoy_2x1;architecture arc of bejoy_2x1 isbeginz1 <= d0 and (not s);z2 <= (d1 and s);z <= z1 or z2;end a...

Small Town Terr Livingston

Your family is missing and the town is in chaos in Small Town Terrors: Livingston! Search for your family, uncover the evil that has enveloped the town, and escape with your life in this mystifying Hidden Object Puzzle Adventure game. Explore abandoned buildings and search for helpful items as you try to piece together what has happened to the town. Find your family before it’s too late in Small Town Terrors: Livingston!Download:  Mirror 1 Mirror...

Silent Nights: The Pianist Collectors Edition

Save your sister and uncover the secrets of an ancient organization in Silent Nights: The Pianist! You and your sister, Yvette, come from a long line of gifted musicians. When you arrive to accompany her at a vocal concert in your hometown, you discover she has been abducted by a mysterious group with plans to use her talent for a dire purpose. Explore hidden object scenes and get to the bottom of things before your sister’s voice is silenced forever...

Tuesday, April 3, 2012

CorelDRAW Graphics Suite X6 Full Keygen

CorelDRAW Graphics Suite X6 is the latest version of the next generation of CorelDRAW Graphics Suite X5 that I've shared a few moments ago. CorelDRAW Graphics Suite X6 has a major function in the world of graphic design that managed to fix some errors found in previous versions of CorelDRAW, upgrading technology and adding new features which of course all of this that you've been waiting for.CorelDRAW X6 has been developed with additional and improved...

SpongeBob SquarePants Typing

SpongeBob SquarePants Typing offers boatloads of fun and interesting undersea facts all while you learn to type. Through arcade-style games and keyboarding drills, SpongeBob and his friends will make typing instruction fun! Dive right into a step-by-step typing tutorial that’s awash with SpongeBob SquarePants' helpful hints, games, and friends! Now kids of all ages can learn to type the SpongeBob way!Download:  Mirror 1:  Part 1 Part 2Mirror...

Rita James and the Race to Shangri La V1.0.07

Join the “More Brave than Brilliant” aviatrix Rita James on another whirlwind adventure in search for the mythical paradise of Shangri La! Travel with Rita, Sebastian, Dr. James and Marbles – the one eyed monkey - to a land of mystery where few have ventured. Escape a small mining village filled with murderous thugs! Traverse the steep Himalayan Mountain, which is rumored to be guarded by a hideous Yeti! All while being chased by the sinister Dr....

Monday, April 2, 2012

BitTorrent 7.6.1 Build 26922 Final

Saya yakin sobat semua sudah sangat paham sekali kegunaan software yang satu ini. BitTorrent 7.6.1 Build 26922 Final adalah peer-to-peer file sharing (P2P) komunikasi protokol. BitTorrent merupakan suatu metode mendistribusikan data dalam jumlah besar secara luas tanpa distributor asli yang menimbulkan biaya seluruh perangkat keras, hosting dan sumber daya bandwidth. Download manager torrent seperti BitTorrent dapat kita analogikan "gotong royong"...

Ads By Sponsers