Powered by Blogger.

Total Pageviews

I m on Twitter!

Saturday, April 7, 2012

Fake Google powered Antivirus is Malware


Some security researchers have found a fake antivirus which is actually a malware. The strange thing about this malware is that it claims to be powered by Google.

Some highly SEO optimize website with Good ranking in Google Search are being used by attackers to spread this malware. When a user visits any of this malicious website, the website shows a fake virus warning message. This message warns users to download or they will block their access to all Google services. The message says, "Google systems have detected unusual traffic from your computer. Please check your PC on viruses. To continue, please download and install our antivirus software. [DOWNLOAD button] or our system will block your access to Google services."




All those visitors who download the antivirus in order to avoid service blockage of various Google services, served a malware which contains Trojan.Win32.Fakeav.tri (v). This Trojan is really harmful. To know more you can search for this Trojan in Google.  It means a Trojan which is claiming to be a antivirus.

It is my personal suggestion not to believe any of these kinds of spams which claim to be the part of such a big companies. No company launches this company silently. If you are not sure, then search in Google or other search engine to know more before trusting. If you really want to know this kind of new service launched by these companies, follow my other new related website,Techlomedia. This website will update you all the latest service and gadgets launched.

If you have already downloaded this fake antivirus, then scan your whole system to detect and remove this virus. If you have not updated your antivirus for past few days, then update your antivirus because older antivirus will not detect this Trojan signature. You can also download any latest antivirus and internet security suit

VHDL code for 4 bit Gray to Binary converter

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_g2b is
port(g:in std_logic_vector(3 downto 0);

b:inout std_logic_vector(3 downto 0));
end bejoy_g2b;


architecture a of bejoy_g2b is
begin

b(3)<=g(3);
b(2)<=b(3) xor g(2);
b(1)<=b(2) xor g(1);
b(0)<=b(1) xor g(0);
end a;

Friday, April 6, 2012

The City of Fools


As a newspaper journalist, you are sent to a small town of Tundel. A UFO is rumored to have landed there, but the local mayor tries to conceal the fact. Geared up to learn the truth about the mysterious event, you try to interview the mayor but finding him appears harder than it seemed. The way to his door turns up a real challenge to your courage, selflessness and wit.
Overcome all the incredible obstacles, find the mayor and become a hero



 

 

VHDL code for 4 bit Binary to Gray code converter

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_b2g is
port(b:in std_logic_vector(3 downto 0);

g:out std_logic_vector(3 downto 0));
end bejoy_b2g;

architecture a of bejoy_b2g is
begin

g(3)<=b(3);
g(2)<=b(3) xor b(2);
g(1)<=b(2) xor b(1);
g(0)<=b(1) xor b(0);
end a;

Windows Xp Genuine Forever 100%


#################################


Windows Xp Genuine Forever





                                  
                                       DOWNLOAD GENUINE FILES


1.Copy all the files from the system32 Folder and 

   paste to  C:WINDOWS:System32/

2.Now go to ///start///---///run///---and type in instexnt install


3.Congratulations,from now u have a genuine copy of Xp


4.U can now add the 1.reg file or restart u pc.


5.Now Run the Microsoft Windows Genuine Check Tool ,

   and see if u PC is 'genuine'. 










VHDL code for SR Flip Flop

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_rsff is
port(s,r,clk:in std_logic;q,q1,z:inout std_logic);
end bejoy_rsff;

architecture arc of bejoy_rsff is
begin
process(clk)
begin

if clk='1' then
z<=s or ((not r) and q);
q<=z after 5ns;
q1<=not z after 5ns;

end if;
end process;
end arc;

Easy Method to Download Youtube Videos On Mobile and PC




A very simple and easy method is available to download Youtube Videos On Mobile and as well as on PC.


Steps:


  1. Go to youtube video page, the video you want to download.

  2. Just remove 'www.' from the video url in the address bar and add 'ss' and press enter.

  3. A new page will be opened. 

  4. Choose the format you need to download.

If the Video URL is http://www.youtube.com/watch?v=jHgOvf7710k then you need to just add 'ss' in front of it and remove 'www.', Like this http://ssyoutube.com/watch?v=jHgOvf7710k


Use the same procedure on mobile phones.



Any Problem or if have some suggestions, please comment.

Thursday, April 5, 2012

VHDL code for 1x4 Demultiplexer using structural style

library IEEE;
use IEEE.std_logic_1164.all;

entity bejoy_1x4 is
port(s1,s2,data_in : in std_logic;
d1,d2,d3,d4 : out std_logic);
end bejoy_1x4;

architecture arc of bejoy_1x4 is

component dmux
port(sx1,sx2,d : in std_logic;
z1,z2 : out std_logic);
end component;

begin
dmux1 : dmux port map(s1,s2,data_in,d1,d2);
dmux2 : dmux port map(not s1,s2,data_in,d3,d4);
end arc;

library ieee;
use ieee.std_logic_1164.all;

entity dmux is
port(sx1,sx2,d :in std_logic;
z1,z2: out std_logic);
end dmux;

architecture arc of dmux is
begin
z1 <= d and (not sx1) and (not sx2);
z2 <= d and (not sx1) and sx2;
end arc;

Best Funny Google Search Suggestions




Google suggest is a feature that tries to auto complete your searches when you write in search box.It saves a lot of effort.But sometimes you get really weird suggestions that make you laugh.The most funniest part is that Google only suggest those terms which are regularly searched by people.So, this is a clear indication of how dumb people have become.You should also read my earlier post’s Top 7 Funny Google tricksand Funny Google Tricks

Here is the Best Funny Google Search Suggestions


4e1cb980cf75f Best Funny Google Search Suggestions




4dd3e2c30b3b9 Best Funny Google Search Suggestions

4dd3e3bab53bb Best Funny Google Search Suggestions

4dd3e13c13589 Best Funny Google Search Suggestions

4dd3e14cb0ecc Best Funny Google Search Suggestions

4dd3e127bfea9 Best Funny Google Search Suggestions

4dd3e136d7903 Best Funny Google Search Suggestions

4dd3e1186cb1c Best Funny Google Search Suggestions

4dd3e1411ee30 Best Funny Google Search Suggestions

4dd3e1466bab8 Best Funny Google Search Suggestions

4dd3e2049034a Best Funny Google Search Suggestions






4dd3f96e99730 Best Funny Google Search Suggestions

4e1cb9118f77b Best Funny Google Search Suggestions

4dd3df2f13dcc Best Funny Google Search Suggestions

There are a lot more funny google search suggestions.If you know any that has not been shared in this post then do share it with our readers by commenting.

VHDL code for D Flip Flop

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_dff is
port(d,clock :in std_logic;
Q:out std_logic);
end bejoy_dff;

architecture arc of bejoy_dff is

begin
process(clock)
begin

if clock'event and clock='1' then
Q<=D;

end if;
end process;
end arc;

Ads By Sponsers