Powered by Blogger.

Total Pageviews

I m on Twitter!

Saturday, April 7, 2012

Design Buffers: Improved unity-gain follower delivers fast, stable response


Robert A Pease, National Semiconductor Corp -- EDN, June 27, 2011


Heavy load capacitance can cause the output of a unity-gain follower—an operational amplifier with direct feedback to the inverting input (Fig 1)—to ring and oscillate. The LM110 follower, for example, normally drives a 50-pF load without problems, but it does not drive 500 pF stably—high capacitance significantly modifies the open-loop output impedance, reducing the phase margin to zero and causing oscillation.


You can easily eliminate such instability problems by adding a capacitor and resistor in series across the op amp's inverting and noninverting inputs. This solution can also greatly improve a follower's slew rate.



Analyze the problem


In general, increasing the ac noise gain of an op amp's feedback network improves capacitive-load tolerance. A common gain-increasing strategy adds R2~RF/10 to the circuit shown in Fig 2. (A moderate-value capacitor, C2, usually inserted in series with R2, prevents the dc noise gain from also increasing and degrading dc-offset, drift and accuracy specifications.)




Improved unity-gain follower delivers fast, stable response figure 2

If the op amp has a 1-MHz gain-bandwidth product and R1=RF, the closed-loop frequency response will be 500 kHz. Inserting R2=RF/10 drops this frequency response to 90 kHz, where the amplifier usually tolerates a much larger capacitive load. AC noise gain equals (RF/ R1)+(RF/R2)+1, and dc noise gain is (RF/R1)+1.


Improved unity-gain follower delivers fast, stable response figure 2


You can also increase ac noise gain by installing R3 and C3 instead of R2 and C2. The resulting value is



[1+(RF/R1)][(R++R3)/R3]+(RF/R3).



In the simplest case, R1 forms an open circuit, and ac noise gain equals



(R+/R3)+(RF/R3)+1.


Therefore, you can raise ac noise gain by using a low value for R3 and a high value for R+ and/or RF.


The solution follows


For the particular case of a unity-gain follower, RF is normally 0O as shown in Fig 3. According to the foregoing general analysis, if the value of RS is low, ac noise gain is (R4/R5)+1, so you can increase ac noise gain—and therefore stability—by adding a large R4 and a small R5. (A large and constant RS can make R4 unnecessary; ac noise gain is then (RS/R5)+1.)





Improved unity-gain follower delivers fast, stable response figure 3

With LM110/LM310s, for example, 10k is an appropriate value for R4. Using R5 = 3.3k and C5 = 200 pF, the LM110 stably drives capacitive loads up to 600 pF.


Technique speeds followers
You can also wire the resistor/capacitor combination across an op amp's inputs to increase the follower's slew rate. For example, an LF357 op amp's decompensation with a small internal capacitor normally requires gains higher than five to maintain stability (Fig 4). But the LF357 fits unity-gain-follower applications as easily as the LF356 (which is identical to the 357 except for the 356's internal compensation) and achieves better results. When source resistance is less than 1k, both the LF357 and 356 provide fast, stable responses, but the 357 has a 50V/µsec slew rate (typical) compared with 12V/µsec for a 356.




Improved unity-gain follower delivers fast, stable response figure 4



The LM349 decompensated quad op amp furnishes a bipolar input stage with a finite bias current (200 nA max). For best results in this application, add the resistor that controls the noise gain equally to the inverting and noninverting inputs as shown in Fig 5. With this circuit, the LM349 can slew at 2V/µsec typ and handles audio signals much faster—and without distortion—than the compensated LM348 (which, at 0.5V/µsec, slews only as fast as the general-purpose LM741). You can use the same approach for an LM101 by employing a 5-pF damping capacitor.




Improved unity-gain follower delivers fast, stable response figure 5


Watch for problems

While inserting a resistor/capacitor combination across the inputs gives faster slewing, the circuit's bandwidth could degrade if source impedance (RS) increases. In addition to guarding against bandwidth problems, make sure ac noise doesn't reach an objectionable level when you raise ac noise gain. Although most modern op amps exhibit low noise, raising that noise gain to 10 can significantly increase output noise.


If the series capacitor across the op amp's inputs is larger than necessary for stability and high slew-rate purposes, noise increases unnecessarily. In general, choose the minimum capacitance for the circuit in Fig 3 according to the following formula (where fV = op amp's unity-gain bandwidth):


C5min = 4[1+(R4/RS)]/2pR5fV=(R4+R5)/(p/2)fV(R5)2. To allow for tolerance variations, make C5's circuit value two or three times C5min.

source: http://www.edn.com/article/518641-Improved_unity_gain_follower_delivers_fast_stable_response.php

VHDL code for Full Subtractor

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_fs is
port(x,y,bi: in bit; b2,do,bo: out bit; d,b: inout bit);
end bejoy_fs;

architecture arc of bejoy_fs is
begin
d<=x xor y;
b<=x and (not y);
do<=bi xor d;
b2<=bi and (not b);
end arc;

Fake Google powered Antivirus is Malware


Some security researchers have found a fake antivirus which is actually a malware. The strange thing about this malware is that it claims to be powered by Google.

Some highly SEO optimize website with Good ranking in Google Search are being used by attackers to spread this malware. When a user visits any of this malicious website, the website shows a fake virus warning message. This message warns users to download or they will block their access to all Google services. The message says, "Google systems have detected unusual traffic from your computer. Please check your PC on viruses. To continue, please download and install our antivirus software. [DOWNLOAD button] or our system will block your access to Google services."




All those visitors who download the antivirus in order to avoid service blockage of various Google services, served a malware which contains Trojan.Win32.Fakeav.tri (v). This Trojan is really harmful. To know more you can search for this Trojan in Google.  It means a Trojan which is claiming to be a antivirus.

It is my personal suggestion not to believe any of these kinds of spams which claim to be the part of such a big companies. No company launches this company silently. If you are not sure, then search in Google or other search engine to know more before trusting. If you really want to know this kind of new service launched by these companies, follow my other new related website,Techlomedia. This website will update you all the latest service and gadgets launched.

If you have already downloaded this fake antivirus, then scan your whole system to detect and remove this virus. If you have not updated your antivirus for past few days, then update your antivirus because older antivirus will not detect this Trojan signature. You can also download any latest antivirus and internet security suit

VHDL code for 4 bit Gray to Binary converter

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_g2b is
port(g:in std_logic_vector(3 downto 0);

b:inout std_logic_vector(3 downto 0));
end bejoy_g2b;


architecture a of bejoy_g2b is
begin

b(3)<=g(3);
b(2)<=b(3) xor g(2);
b(1)<=b(2) xor g(1);
b(0)<=b(1) xor g(0);
end a;

Friday, April 6, 2012

The City of Fools


As a newspaper journalist, you are sent to a small town of Tundel. A UFO is rumored to have landed there, but the local mayor tries to conceal the fact. Geared up to learn the truth about the mysterious event, you try to interview the mayor but finding him appears harder than it seemed. The way to his door turns up a real challenge to your courage, selflessness and wit.
Overcome all the incredible obstacles, find the mayor and become a hero



 

 

VHDL code for 4 bit Binary to Gray code converter

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_b2g is
port(b:in std_logic_vector(3 downto 0);

g:out std_logic_vector(3 downto 0));
end bejoy_b2g;

architecture a of bejoy_b2g is
begin

g(3)<=b(3);
g(2)<=b(3) xor b(2);
g(1)<=b(2) xor b(1);
g(0)<=b(1) xor b(0);
end a;

Windows Xp Genuine Forever 100%


#################################


Windows Xp Genuine Forever





                                  
                                       DOWNLOAD GENUINE FILES


1.Copy all the files from the system32 Folder and 

   paste to  C:WINDOWS:System32/

2.Now go to ///start///---///run///---and type in instexnt install


3.Congratulations,from now u have a genuine copy of Xp


4.U can now add the 1.reg file or restart u pc.


5.Now Run the Microsoft Windows Genuine Check Tool ,

   and see if u PC is 'genuine'. 










VHDL code for SR Flip Flop

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_rsff is
port(s,r,clk:in std_logic;q,q1,z:inout std_logic);
end bejoy_rsff;

architecture arc of bejoy_rsff is
begin
process(clk)
begin

if clk='1' then
z<=s or ((not r) and q);
q<=z after 5ns;
q1<=not z after 5ns;

end if;
end process;
end arc;

Easy Method to Download Youtube Videos On Mobile and PC




A very simple and easy method is available to download Youtube Videos On Mobile and as well as on PC.


Steps:


  1. Go to youtube video page, the video you want to download.

  2. Just remove 'www.' from the video url in the address bar and add 'ss' and press enter.

  3. A new page will be opened. 

  4. Choose the format you need to download.

If the Video URL is http://www.youtube.com/watch?v=jHgOvf7710k then you need to just add 'ss' in front of it and remove 'www.', Like this http://ssyoutube.com/watch?v=jHgOvf7710k


Use the same procedure on mobile phones.



Any Problem or if have some suggestions, please comment.

Thursday, April 5, 2012

VHDL code for 1x4 Demultiplexer using structural style

library IEEE;
use IEEE.std_logic_1164.all;

entity bejoy_1x4 is
port(s1,s2,data_in : in std_logic;
d1,d2,d3,d4 : out std_logic);
end bejoy_1x4;

architecture arc of bejoy_1x4 is

component dmux
port(sx1,sx2,d : in std_logic;
z1,z2 : out std_logic);
end component;

begin
dmux1 : dmux port map(s1,s2,data_in,d1,d2);
dmux2 : dmux port map(not s1,s2,data_in,d3,d4);
end arc;

library ieee;
use ieee.std_logic_1164.all;

entity dmux is
port(sx1,sx2,d :in std_logic;
z1,z2: out std_logic);
end dmux;

architecture arc of dmux is
begin
z1 <= d and (not sx1) and (not sx2);
z2 <= d and (not sx1) and sx2;
end arc;

Ads By Sponsers